Leave Your Message
Master mirror grinder for CMP device semiconductors

News

Master mirror grinder for CMP device semiconductors

2024-05-10

CMP (Chemical Mechanical Polishing) technology is a key process for achieving global uniform and flat wafer surfaces in semiconductor manufacturing. It removes excess material from the wafer surface through the synergistic action of chemical corrosion and mechanical grinding to achieve global flatness at the nanoscale. The CMP process consists of three main steps: polishing, cleaning and transfer. During the polishing process, the chemical composition of the polishing liquid reacts with the wafer surface material to form a film that can be mechanically removed, and the polishing pad then mechanically removes this film to achieve a smooth surface. CMP devices can be divided into 8-inch, 12-inch, and 6/8-inch compatible devices according to application requirements.


图片1.png


Markets and major enterprises

The main market for CMP equipment comes from three segments, CMP equipment for silicon wafer manufacturing, CMP equipment for wafer foundry, and CMP equipment for silicon carbide substrate manufacturing. With the continuous reduction of integrated circuit manufacturing process, the demand for CMP process is gradually increasing, especially in logic chip manufacturing, the number of CMP process steps of advanced process nodes increases significantly. The CMP market continues to grow in size. In particular, the new production capacity in the mainland is expected to exceed the growth rate of the mainland market.


The global CMP equipment market is highly monopolized, mainly by Applied Materials, the global CMP equipment market leader, providing advanced CMP solutions, and its products cover a variety of applications from mature processes to advanced processes. Ebara, Japan, has a patent for dry-in/dry-out technology, and its products are known for their high reliability and production efficiency. Together, they account for more than 90% of the market.


In the field of mature process, domestic enterprises have broken the monopoly of foreign giants and obtained a certain market share. Domestic manufacturers of CMP equipment mainly include Huahai Qingke, which has realized the industrial application of 28nm process, 14nm process technology is being verified, and is the only manufacturer that can provide 12-inch CMP equipment in China. Sco Precision provides CMP equipment for all complex flattening process requirements in IC manufacturing, supporting IC production from 0.09-0.35um process nodes. Jingyi Precision, 8-inch CMP equipment supplier, launched the first domestic 8-inch CMP production line mass production equipment with independent intellectual property rights. Hangzhou ZhongSI has successfully developed 6-inch, 8-inch and 12-inch CMP devices for integrated circuits, large silicon wafers and third-generation semiconductors.


OPINION:

1 chip process upgrade, CMP equipment application will be more frequent, the market space, especially the domestic market space is growing

2. Domestic equipment technology continues to improve, and domestic equipment maturity increases

3. As we all know, there are several domestic enterprises can make it, the market began to roll, and the future of technology-leading enterprises or enterprises that open up new application fields is more worthy of expectation.


Fountyl Technologies PTE Ltd, is focusing on semiconductor manufacturing industry, main products include: Pin chuck, porous ceramic chuck, ceramic end effector, ceramic square beam, ceramic spindle, welcome to contact and negotiation!