Leave Your Message
The world's top five semiconductor equipment brewing big changes

News

The world's top five semiconductor equipment brewing big changes

2024-05-07

As the core equipment of chip manufacturing, EUV lithography machine has attracted much attention, and the importance of ASML has become more and more prominent, and in this wave, the pattern of semiconductor equipment market is also quietly changing. In the first half of 2023, the global semiconductor equipment manufacturers market size ranking has been adjusted, the latest statistics of CINNO Research show that in the third quarter of 2023, ASML revenue continues to maintain the first position of the global equipment manufacturers, more than the past long-term top of the applied materials.


The first signs of change are emerging

For a long time, the semiconductor equipment market has presented a "five strong separation" situation. CINNO Research statistics show that in the three years from 2020 to 2022, the semiconductor equipment business revenue ranking of the global listed companies, the United States company Applied Materials has ranked first, followed by the Dutch company ASML, the United States company Panlin, the Japanese company Tokyo Electronics and the United States company Kelei. Perennial "top five" semiconductor equipment market size. As a key support link of the industrial chain, semiconductor equipment is used in the whole chain from wafer manufacturing to packaging and testing, so the main direction of different semiconductor equipment manufacturers also have their own focus. Pan Forest Group and Tokyo Electronics have a leading position in the field of film deposition and etching; Kelei occupies half of the world in the field of semiconductor forward detection equipment; ASML focuses on the development and manufacture of photolithography machines, and is the only supplier of high numerical aperture EUV (extreme ultraviolet) lithography machines. The applied materials are divided into multiple ways, with a broad process portfolio, and a more comprehensive product line, covering dozens of devices for semiconductor manufacturing, so it can sit on the throne of the largest supplier of semiconductor equipment for a long time. However, behind the seemingly stable market map, the competition between manufacturers is surging, and an invisible change is brewing. In the first half of 2023, the market size ranking of global semiconductor equipment manufacturers was adjusted. ASML jumped to the top with more than $14.8 billion in revenue, followed by Applied Materials with $12.4 billion in revenue, Tokyo Electronics also surpassed Pan Forest to take the third place, and Kolei remained in fifth place.


In the third quarter of 2023, ASML's lead was further highlighted. CINNO Research statistics found that the semiconductor business of the top five equipment manufacturers accounted for 88% of the total revenue of the top 10 with a total revenue of more than $22 billion. Among them, ASML's revenue in the third quarter was about $7.1 billion, which was not only the only company among the top five manufacturers to achieve year-on-year revenue growth in the quarter, but also accounted for 32% of the total revenue of the top five manufacturers. "Changes in demand in the global semiconductor market have directly impacted ASML's performance." "With the development of AI, 5G, Internet of Things and other technologies, the demand for high-performance chips continues to grow, which has supported ASML's business growth," industry experts said in an interview with China Electronics News. Robert Castellano, a well-known semiconductor industry analyst, believes that ASML will surpass applied materials and become the top supplier of WFE (wafer front end) semiconductor equipment in 2023.


AI into overtaking the new track

"This year's big model boom has brought rapid growth in demand for AI chips, more and more AI companies need to train large models with more than 100 billion or trillion parameters, and the outbreak of superimposed edge reasoning needs has spawned a strong demand for advanced processes." Industry experts told "China Electronics News" reporter said. Analysis agency Omdia statistics show that Nvidia sold a total of 500,000 AI chips in the third quarter, including A100 and H100, of which A100 and H100 use 7nm and 4nm processes respectively; In addition, as a foundry giant, TSMC publicly disclosed data show that in the first three quarters of this year, the revenue of 5nm process accounted for the highest proportion of total revenue, respectively, 31%, 30% and 37%, followed by 7nm process, accounting for 20%, 23%, 16%, 7nm and below advanced process has always been the main revenue of TSMC. It is worth mentioning that the advanced process technology cannot be separated from the advanced lithography machine equipment.


At present, extreme ultraviolet (EUV) is the world's most advanced lithography technology, and it is a key device for 7nm process chips. ASML, as the only supplier of extreme ultraviolet (EUV) lithography machines, naturally becomes a well-deserved "tide" in the AI wave, considering the complexity and research and development costs of high-end lithography technology, it is difficult for competitors to catch up with ASML's technical advantages in the short term. ASML2023 third quarter earnings data show that the amount of new orders in the third quarter was 2.6 billion euros, of which 500 million euros from EUV lithography machine orders.

图片3.png


The market landscape is uncertain

Although ASML has been singing all the way to the top of the semiconductor equipment revenue list for the time being, it is not a foregone conclusion. On the one hand, the dependence of ASML lithography machines on the Chinese market has gradually deepened. Roger Dassen, Chief financial Officer of ASML, explained: "The majority of shipments in China during the quarter were from orders placed in 2022 and before." However, the surge in performance brought about by the concentrated release of a large number of demand in a short period of time is not reproducible. In addition, due to geopolitics, the operation of ASML cannot fully follow commercial logic, and the export of lithography machines to China will be subject to multiple restrictions.


On the other hand, although ASML has a near monopoly in the high-end lithography machine market, other head equipment manufacturers are also actively deploying AI. In the letter to shareholders, Pan Forest Group said that due to the increasing importance of AI in daily life, in order to better support AI servers, the equipment architecture of semiconductors has become increasingly complex, which has correspondingly given rise to greater demand for deposition and etching strength, which is very beneficial to Pan Forest Group; Applied Materials, Tokyo Electronics, IBM and other semiconductor giants have also recently reached a cooperation with the US state of New York, and are expected to invest $10 billion to establish a next-generation High-NA EUV semiconductor research and development center in New York to promote semiconductor innovation in the next decade. It is worth noting that semiconductor companies in other emerging markets are also actively developing their own technology and production capacity, and are expected to become strong competitors. Canon is ramping up nanoimprint technology, and its semiconductor machine business director said the technology can produce 2-nanometer chips without lithography. "In the long term, the direction of semiconductor technology is likely to have an impact on the market landscape." Industry experts told reporters, "If there is a disruptive new technology, it may change the demand for traditional semiconductor equipment."


Fountyl Technologies PTE Ltd, is focusing on semiconductor manufacturing industry, main products include: Pin chuck, porous ceramic chuck, ceramic end effector, ceramic square beam, ceramic spindle, welcome to contact and negotiation!